Implementation of Efficient Fixed Point ALU with 32 Bit Processing Capability

Implementation of Efficient Fixed Point ALU with 32 Bit Processing Capability Abstract— Exploiting computational precision can improve performance significantly without losing accuracy in many applications. To enable this, we propose an innovative arithmetic logic unit (ALU) architecture that supports true dynamic precision operations on the fly. The proposed architecture targets fixed-point ALUs. In this paper we focus mainly on the precision controlling mechanism and the […]

Read Me